Site des Oraux

Automatique (systèmes discrets) 2005 (10) :: post
Années :: 2006 :: 2007 :: 2008 :: Toutes

Post nº10 (id1400) envoyé par Marcel  le 22 Jun 2005, 10:56
Comme d'hab: reclachage de question au tableau; puis il vous dit qu'il y a une faute; vs cherchez, si vs trouvez direct c bien (moi après 10 min, ca le fait moins ;-) ) Après, il vous montre qu'il y a moyen d'en rajouter, de faire autrement, etc. Rien de nouveau, même pas une question type... Aujourd'hui très souriant, hésitez pas à lui lâcher qques petites réflexions sur la beauté de son cours, à sourire; entrez détendus, y a pas de quoi stresser

Post nº9 (id1393) envoyé par Victorious  le 21 Jun 2005, 16:48
HANUS, je t'ai eu!!

C'est vrai que tu étais assez sympa (le soleil doit avoir un effet bénéfique). Ma question combinait un peu le chap. 7 et le 5, d'abord parler un peu de l'échantilloneur-bloqueur, puis faire la synthèse ds le plan z par a) prototype minimal, b) réponse pile minimale.

Il m'a demandé, comme à tous apparemment, de dessiner la réponse indicielle. Je connaissais celle de T(z) en boucle fermée, mais il m'a demandé celle de T(p) continue, je n'avais aucune idée. Je savais pour F(z) la boucle ouverte, et il m'a un peu aidé - pour arriver à la conclusion que j'avais des oscillations cachées dans le prototype minimal. Alors j'ai su montrer qu'il n'y en a pas pour la réponse pile minimale (car on ne compense pas les pôles).
Sinon, il m'aurait aussi posé la même question qu'à tous (algorithme du régulateur), mais il n'a plus eu le temps.

Youhou, plus que Leduc!!
Bernard, here I come!

Post nº8 (id1384) envoyé par faiwy  le 21 Jun 2005, 12:55
j'ai pris un exo du livre, il ma posé (ainsi qu'a tous les autres qui sont passés ajdhi) une question subsidiaire dont personne n'a jamais parlé sur ce site (voir le post de vincent) donc evidemment on l'a tous foiré (sauf lui ;) ) alors que je suis sure qu'elle est deja tombée avant.

Post nº7 (id1382) envoyé par Vincent  le 21 Jun 2005, 12:40
Alors il a l'air de poser tout le temps la même question mais personne n'en a jamais parlé. AUjourd'hui en tout cas les 4 avant moi on eu cette question subsidiaire et je l'ai eu aussi.

La voici: Donnez un algorithme de votre régulateur.

Il suffit de dire que c'est Y(Z)/X(Z)= equ de votre régu= (z-1)(Z+2)/(Z+4)(Z+5) par exemple

Vous faites passer le déno*Y et vous divisez tout par z^2 vous obtenez un truc du style : Yz^2 +3Yz^1 +... et vous faite sla transfo inverse ce qui vous donne un truc du style: y(k-2)+3y(k-1)+... et avec ca il est content

Voila, sinon il est sympa et il m'a aussi demandé l'allure de la fonction entre les instants d'échantillonage.


Post nº6 (id1370) envoyé par hélène  le 21 Jun 2005, 10:13
Hello,
j'ai passé l'oral d'automatique discret. Il était très sympa. j'ai refait tel quel l'exo 6 du chap5 mais j'ai rajouté le lieu d'Evans du système de régulation. Ca ne l'a pas dérangé que je prenne tel quel un exo du livre (tant qu'on comprend ce qu'on fait).
bon courage à ceux qui doivent encore passer...

*Spécial* Post nº5 (id1369) envoyé par Paille  le 20 Jun 2005, 23:03
J'ai pas encore passé l'examen, mais je vous donne ici un bon lien qui cartonne !

www.laas.fr/~peaucell/DPpages/DPteach.html

Il y a là dedans : un cours entier bien fait et illustré par des exemples et des exercices ( tiens tiens ;) ). En plus il y a des tp's et leur corrigé ainsi que 5-6 examens avec leur corrigé...

Que demander de mieux ???

Je vous le dis tout de suite, moi je présente la régulation de population de vaches, ca cartonne :D

Post nº4 (id1208) envoyé par Brian  le 16 Jun 2005, 11:18
Pas grand chose à dire de plus par rapport aux autres posts, j'ai fait une question assez théorique sur le chapitre 7 : simulation par représentation d'état + exemple et comparaison avec echantillonage blocage. L'examen se passe comme en janvier.

Post nº3 (id1095) envoyé par Vince  le 08 Jun 2005, 11:53
Tt a fait comme en janvier...

Perso j'ai fait une question theorique : chap 7 echantillonne bloque et approx bilineaire.

J'ai retape le cours puis il m'a demande de tt refaire d'une autre maniere.

Le but etant de tjs garder le sourire meme dans les moments difficiles. Sinon il est tjs aussi sympa :D

Post nº2 (id1093) envoyé par Martin  le 08 Jun 2005, 11:35
Alors pas de surprises, tout est comme annoncé. Une question, on dicte sa question et on est parti pour max 20 min de live. L'exam se passe exactement comme en janvier dans son bureau (qui en passant a une super vue sur le square G :-)).

J'avais préparé une question de synthèse dans le plan z, à partir d'une transmittance inventée. D'accord, ça ne gagne pas le prix de l'originalité mais j'ai essayé de la faire de manière intelligente et apparemment ça a bien plu. Comme en janvier, il m'a proposé finalement une solution qui est encore meilleure que celle sur laquelle j'étais tombé et ça vous en bouche un coin car on sait toujours faire mieux.

Il est super sympa, ne vous tracassez pas trop tant que votre question tient la route et que vous savez justifier vos choix.

Courage !

Post nº1 (id1074) envoyé par Greg  le 08 Jun 2005, 11:02
Pas grand chose à dire, c'est exactement comme en janvier. Même genre de questions. Dans ma question, y a vait de la transfo bilinéaire, donc il m'a évidemment demandé comment on choisi la fréquence d'échantillonnage. Là, c'est bien de pouvoir lui resortir tout son chapitre sur les convertisseurs avec les règles de bonnes pratiques...
Autres choses : le verbe implémenter existe pas en français, c'est comme réguler (sauf si vous voulez mettre de la régule ;-)).
Si vous faites une faute d'inatention, c'est bien de la trouver direct quand il vous le dit, et le tout avec le sourire. Et puis comme d'hab, pas les mains dans les poches...
En résumé, comme en janvier, il est sympa et voilà.


oraux.pnzone.net - infos - 5ms